• 大小: 32KB
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2021-05-18
  • 语言: C/C++
  • 标签: 数字pfc  

资源简介

基于pic单片机的数字pfc c语言源码,含电流环、电压环pid控制。

资源截图

代码片段和文件信息

/**********************************************************************
* ?2005 Microchip Technology Inc.
*
* FileName:        InitPorts.c
* Dependencies:    Header (.h) files if applicable see below
* Processor:       dsPIC30Fxxxx
* Compiler:        MPLAB?C30 v3.00 or higher
*
* SOFTWARE LICENSE AGREEMENT:
* Microchip Technology Incorporated (“Microchip“) retains all ownership and 
* intellectual property rights in the code accompanying this message and in all 
* derivatives hereto.  You may use this code and any derivatives created by 
* any person or entity by or on your behalf exclusively with Microchips 
* proprietary products.  Your acceptance and/or use of this code constitutes 
* agreement to the terms and conditions of this notice.
*
* CODE ACCOMPANYING THIS MESSAGE IS SUPPLIED BY MICROCHIP “AS IS“.  NO 
* WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING BUT NOT LIMITED 
* TO IMPLIED WARRANTIES OF NON-INFRINGEMENT MERCHANTABILITY AND FITNESS FOR A 
* PARTICULAR PURPOSE APPLY TO THIS CODE ITS INTERACTION WITH MICROCHIPS 
* PRODUCTS COMBINATION WITH ANY OTHER PRODUCTS OR USE IN ANY APPLICATION. 
*
* YOU ACKNOWLEDGE AND AGREE THAT IN NO EVENT SHALL MICROCHIP BE LIABLE WHETHER 
* IN CONTRACT WARRANTY TORT (INCLUDING NEGLIGENCE OR BREACH OF STATUTORY DUTY) 
* STRICT LIABILITY INDEMNITY CONTRIBUTION OR OTHERWISE FOR ANY INDIRECT SPECIAL 
* PUNITIVE EXEMPLARY INCIDENTAL OR CONSEQUENTIAL LOSS DAMAGE FOR COST OR EXPENSE OF 
* ANY KIND WHATSOEVER RELATED TO THE CODE HOWSOEVER CAUSED EVEN IF MICROCHIP HAS BEEN 
* ADVISED OF THE POSSIBILITY OR THE DAMAGES ARE FORESEEABLE.  TO THE FULLEST EXTENT 
* ALLOWABLE BY LAW MICROCHIP‘S TOTAL LIABILITY ON ALL CLAIMS IN ANY WAY RELATED TO 
* THIS CODE SHALL NOT EXCEED THE PRICE YOU PAID DIRECTLY TO MICROCHIP SPECIFICALLY TO 
* HAVE THIS CODE DEVELOPED.
*
* You agree that you are solely responsible for testing the code and 
* determining its suitability.  Microchip has no obligation to modify test 
* certify or support the code.
*
* REVISION HISTORY:
*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
* Author           Date      Comments on this revision
*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
* Vinaya Skanda  05/25/07  First release of source file
*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*
* ADDITIONAL NOTES:
* This code is tested on dsPICDEM MC1 Motor Control Development Board
* connected to the High Voltage Power Module. The dsPIC30F device is 
* used as the controller for this application

***************************************************************************************************************************/

#include “General.h“

// Initialize all the GPIO ports of the device

void SetupPorts( void )

{
    LATA  = 0x0000; // Initialize

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件        488  2007-08-31 11:06  Source_Code\CVS\Entries

     文件        371  2007-08-31 11:06  Source_Code\CVS\Entries.Extra

     文件        371  2007-08-31 11:04  Source_Code\CVS\Entries.Extra.Old

     文件        488  2007-08-31 11:04  Source_Code\CVS\Entries.Old

     文件         35  2007-08-30 14:55  Source_Code\CVS\Repository

     文件         37  2007-08-30 14:55  Source_Code\CVS\Root

     文件         47  2007-08-31 11:06  Source_Code\docs\CVS\Entries

     文件         30  2007-08-31 11:06  Source_Code\docs\CVS\Entries.Extra

     文件         20  2007-08-31 11:04  Source_Code\docs\CVS\Entries.Extra.Old

     文件         36  2007-08-31 11:04  Source_Code\docs\CVS\Entries.Old

     文件         40  2007-08-31 11:04  Source_Code\docs\CVS\Repository

     文件         37  2007-08-31 11:04  Source_Code\docs\CVS\Root

     文件       5663  2007-08-31 11:03  Source_Code\docs\ReadMe.txt

     文件         46  2007-08-31 11:06  Source_Code\h\CVS\Entries

     文件         29  2007-08-31 11:06  Source_Code\h\CVS\Entries.Extra

     文件         19  2007-08-31 11:05  Source_Code\h\CVS\Entries.Extra.Old

     文件         35  2007-08-31 11:05  Source_Code\h\CVS\Entries.Old

     文件         37  2007-08-31 11:04  Source_Code\h\CVS\Repository

     文件         37  2007-08-31 11:04  Source_Code\h\CVS\Root

     文件       4683  2007-08-22 14:49  Source_Code\h\General.h

     文件          3  2007-08-31 11:04  Source_Code\hex\CVS\Entries

     文件          0  2007-08-31 11:04  Source_Code\hex\CVS\Entries.Extra

     文件          0  2007-08-31 11:04  Source_Code\hex\CVS\Entries.Extra.Old

     文件          0  2007-08-31 11:04  Source_Code\hex\CVS\Entries.Old

     文件         39  2007-08-31 11:04  Source_Code\hex\CVS\Repository

     文件         37  2007-08-31 11:04  Source_Code\hex\CVS\Root

     文件         91  2007-08-31 11:06  Source_Code\inc\CVS\Entries

     文件         57  2007-08-31 11:06  Source_Code\inc\CVS\Entries.Extra

     文件         37  2007-08-31 11:05  Source_Code\inc\CVS\Entries.Extra.Old

     文件         69  2007-08-31 11:05  Source_Code\inc\CVS\Entries.Old

............此处省略40个文件信息

评论

共有 条评论

相关资源