• 大小: 33KB
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2021-01-03
  • 语言: C/C++
  • 标签: 时钟  

资源简介

这是一个用VC++MFC做的基于对话框的时钟程序,包含指针时钟和电子时钟

资源截图

代码片段和文件信息

// Clock.cpp : Defines the class behaviors for the application.
//

#include “stdafx.h“
#include “Clock.h“
#include “ClockDlg.h“

#ifdef _DEBUG
#define new DEBUG_NEW
#undef THIS_FILE
static char THIS_FILE[] = __FILE__;
#endif

/////////////////////////////////////////////////////////////////////////////
// CClockApp

BEGIN_MESSAGE_MAP(CClockApp CWinApp)
//{{AFX_MSG_MAP(CClockApp)
// NOTE - the ClassWizard will add and remove mapping macros here.
//    DO NOT EDIT what you see in these blocks of generated code!
//}}AFX_MSG
ON_COMMAND(ID_HELP CWinApp::onhelp)
END_MESSAGE_MAP()

/////////////////////////////////////////////////////////////////////////////
// CClockApp construction

CClockApp::CClockApp()
{
// TODO: add construction code here
// Place 

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件      20472  2009-09-23 14:09  Clock\Clock.aps

     文件       1478  2009-09-23 14:11  Clock\Clock.clw

     文件       2049  2009-07-23 09:54  Clock\Clock.cpp

     文件       4206  2009-07-22 12:01  Clock\Clock.dsp

     文件        516  2009-07-22 12:01  Clock\Clock.dsw

     文件       1313  2009-07-22 11:26  Clock\Clock.h

     文件      82944  2009-09-23 14:11  Clock\Clock.ncb

     文件      54784  2009-09-23 14:11  Clock\Clock.opt

     文件       1530  2009-09-23 14:10  Clock\Clock.plg

     文件       5464  2009-07-26 15:24  Clock\Clock.rc

     文件       7163  2009-07-27 15:46  Clock\ClockDlg.cpp

     文件       1458  2009-07-26 15:27  Clock\ClockDlg.h

     文件       3561  2009-07-22 11:26  Clock\ReadMe.txt

     文件       1078  2009-07-22 11:26  Clock\res\Clock.ico

     文件        397  2009-07-22 11:26  Clock\res\Clock.rc2

     文件       1078  2009-07-22 11:28  Clock\res\Main.ico

    ..A.SH.      4096  2009-09-23 14:07  Clock\res\Thumbs.db

     文件        637  2009-07-22 11:28  Clock\Resource.h

     文件        207  2009-07-22 11:26  Clock\StdAfx.cpp

     文件       1054  2009-07-22 11:26  Clock\StdAfx.h

     目录          0  2009-09-23 14:12  Clock\res

     目录          0  2009-09-23 14:12  Clock

----------- ---------  ---------- -----  ----

               195485                    22


评论

共有 条评论