资源简介

CRC-16(Modbus)并行计算Verilog代码,结果可在网页http://www.ip33.com/crc.html上进行计算对比

资源截图

代码片段和文件信息

评论

共有 条评论