• 大小: 521B
    文件类型: .vhd
    金币: 1
    下载: 0 次
    发布日期: 2021-05-15
  • 语言: 其他
  • 标签: VHDL  分频  fpga  1HZ  50M  

资源简介

使用VHDL编写的分频器。主频率为50MHZ,进行分频后得到1HZ的时钟。

资源截图

代码片段和文件信息

评论

共有 条评论