• 大小: 74KB
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2021-05-28
  • 语言: 其他
  • 标签: FPGA  verilog  

资源简介

Xilinx哈夫曼编码 对一段数据序列进行哈夫曼编码,使得平均码长最短,输出各元素编码和编码后的数据序列。 1. 设计要求 (1)组成序列的元素是[0-9]这10个数字,每个数字其对应的4位二进制数表示。比如5对应0101,9对应1001。 (2)输入数据序列的长度为256。 (3)先输出每个元素的编码,然后输出数据序列对应的哈夫曼编码序列。 环境是ISE 14.7, ModelSim 10.4

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件       7223  2018-09-08 19:10  哈夫曼\ALLSORT.v

     文件       3146  2018-09-08 19:11  哈夫曼\ENCODE.v

     文件       5821  2018-06-21 19:25  哈夫曼\HTREE.v

     文件       1995  2018-06-21 19:26  哈夫曼\INPUT.v

     文件       2126  2018-06-19 23:29  哈夫曼\OUTPUT.v

     文件       1877  2018-06-20 10:50  哈夫曼\tb_Top.v

     文件       3938  2018-06-20 08:50  哈夫曼\Top.v

     文件       3186  2018-06-19 12:10  哈夫曼\v4_dcm.v

     文件      37100  2018-09-08 19:15  哈夫曼\工程图.png

     文件      41492  2018-09-08 19:15  哈夫曼\模块功能.png

     目录          0  2018-09-08 19:19  哈夫曼

----------- ---------  ---------- -----  ----

               107904                    11


评论

共有 条评论