• 大小: 4KB
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2021-06-02
  • 语言: 其他
  • 标签: pwm  按键  

资源简介

verilog代码实现pwm输出,并用三个按键来进行pwm的频率、占空比在数码管上的显示,第一个按键控制数码管显示频率还是占空比,第二个按键是增加频率或占空比,第三个按键则是减少频率或占空比,频率范围500-20kHz(数码管不显示单位默认为Hz),占空比范围(0.1-0.9)

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件       3733  2018-08-11 17:19  pwm\bin_bcd_f.v

     文件       4170  2018-08-11 17:31  pwm\CTRL.v

     文件       1032  2018-08-11 19:33  pwm\KEY_SCAN.v

     文件       7938  2018-08-11 17:39  pwm\SMG.v

     文件       1371  2018-08-11 11:20  pwm\TOP.v

     目录          0  2018-08-12 09:00  pwm

----------- ---------  ---------- -----  ----

                18244                    6


评论

共有 条评论