• 大小: 500B
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2021-06-08
  • 语言: 其他
  • 标签: 二值化  

资源简介

该代码可实现图像的二值化处理,使用verilog语言编写,适合初学者学习。

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件        696  2008-09-27 10:25  Binary\Binary.v

     目录          0  2010-03-03 19:43  Binary

----------- ---------  ---------- -----  ----

                  696                    2


评论

共有 条评论