• 大小: 413KB
    文件类型: .docx
    金币: 1
    下载: 0 次
    发布日期: 2021-06-10
  • 语言: 其他
  • 标签: 数字跑表  

资源简介

verilog设计的数字跑表: 1.具有暂停/启动功能; 2.具有重新开始功能; 3.用6个数码管分别显示百分秒、秒和分钟。

资源截图

代码片段和文件信息

评论

共有 条评论