资源简介

鄙人自行编写的DDR2的读写例程。此工程使用Vivado 2015.4在Nexys4 DDR上实现。

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----
     文件        1270  2015-11-01 13:26  testDDR2_N4DDR\decode.v
     文件        5587  2015-11-01 16:28  testDDR2_N4DDR\digit.v
     文件     3825896  2016-12-30 10:10  testDDR2_N4DDR\example_top.bit
     文件        6928  2016-12-30 10:01  testDDR2_N4DDR\example_top.v
     文件        7194  2016-12-30 09:55  testDDR2_N4DDR\example_top.xdc
     目录           0  2016-12-30 13:14  testDDR2_N4DDR\

评论

共有 条评论