• 大小: 535KB
    文件类型: .pdf
    金币: 1
    下载: 0 次
    发布日期: 2021-06-14
  • 语言: 其他
  • 标签:

资源简介

本文使用实例描述了在FPGA/CPLD上使用VHDL进行分频器设计,包括偶数分频、非50%占空比和50%占空比的奇数分频、半整数(N+0.5)分频、小数分频、分数分频以及积分分频。

资源截图

代码片段和文件信息

评论

共有 条评论

相关资源