• 大小: 958KB
    文件类型: .zip
    金币: 1
    下载: 0 次
    发布日期: 2021-01-04
  • 语言: 其他
  • 标签: FPGA;H.264  

资源简介

H.264的FPGA解码程序,verilog编写 Nova is a low-power realtime H.264/AVC baseline decoder of QCIF resolution, targeting mobile applications. It is a dedicated, full hardwired and self-contained ASIC design without utilizing any GPP/DSP cores. It has been successfully verified on Xilinx Virtex-4 FPGA and 0.18

资源截图

代码片段和文件信息

// Author(s)  : Ke Xu
// Email     : eexuke@yahoo.com
// Description: Convert text file to binary (.yuv) file
// Copyright (C) 2008 Ke Xu

#include 
int main ()
{
int buffer;
int i;
FILE * inFile;
FILE * outFile;
inFile  = fopen (“C:/xxx/xxx/nova_display.log““r“);
outFile = fopen (“C:/xxx/xxx/nova300.yuv“ “w+b“);

//1   frame:9504 x 32bit
//300 frame:9504 x 300 x 32 bit = 2851200 x 32bit
for (i = 0; i < 2851200; i++)
{
fscanf  (inFile“%x“&buffer);
fwrite  (&buffer41outFile);
}
fclose (inFile);
fclose (outFile);
  return 0;
}


 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----
     目录           0  2017-11-06 10:17  nova\
     目录           0  2017-11-06 10:17  nova\branches\
     目录           0  2017-11-06 10:17  nova\tags\
     目录           0  2017-11-06 10:17  nova\tags\Start\
     目录           0  2017-11-06 10:17  nova\tags\Start\MISC\
     文件          16  2008-04-30 11:58  nova\tags\Start\MISC\readme.txt
     目录           0  2017-11-06 10:17  nova\tags\Start\doc\
     文件          16  2008-04-30 11:58  nova\tags\Start\doc\readme.txt
     目录           0  2017-11-06 10:17  nova\tags\Start\src\
     文件        1188  2008-04-30 11:58  nova\tags\Start\src\Beha_BitStream_ram.v
     文件       11928  2008-04-30 11:58  nova\tags\Start\src\BitStream_buffer.v
     文件       26212  2008-04-30 11:58  nova\tags\Start\src\BitStream_controller.v
     文件       28246  2008-04-30 11:58  nova\tags\Start\src\BitStream_parser_FSM_gating.v
     文件        5974  2008-04-30 11:58  nova\tags\Start\src\CodedBlockPattern_decoding.v
     文件       30401  2008-04-30 11:58  nova\tags\Start\src\DF_mem_ctrl.v
     文件       34462  2008-04-30 11:58  nova\tags\Start\src\DF_pipeline.v
     文件       16318  2008-04-30 11:58  nova\tags\Start\src\DF_reg_ctrl.v
     文件        7585  2008-04-30 11:58  nova\tags\Start\src\DF_top.v
     文件       33256  2008-04-30 11:58  nova\tags\Start\src\IQIT.v
     文件       95493  2008-04-30 11:58  nova\tags\Start\src\Inter_mv_decoding.v
     文件        3831  2008-04-30 11:58  nova\tags\Start\src\Inter_pred_CPE.v
     文件       24439  2008-04-30 11:58  nova\tags\Start\src\Inter_pred_LPE.v
     文件       34093  2008-04-30 11:58  nova\tags\Start\src\Inter_pred_pipeline.v
     文件      127253  2008-04-30 11:58  nova\tags\Start\src\Inter_pred_reg_ctrl.v
     文件      133307  2008-04-30 11:58  nova\tags\Start\src\Inter_pred_sliding_window.v
     文件       29344  2008-04-30 11:58  nova\tags\Start\src\Inter_pred_top.v
     文件       15346  2008-04-30 11:58  nova\tags\Start\src\Intra4x4_PredMode_decoding.v
     文件       70818  2008-04-30 11:58  nova\tags\Start\src\Intra_pred_PE.v
     文件       33236  2008-04-30 11:58  nova\tags\Start\src\Intra_pred_pipeline.v
     文件       37241  2008-04-30 11:58  nova\tags\Start\src\Intra_pred_reg_ctrl.v
     文件       14749  2008-04-30 11:58  nova\tags\Start\src\Intra_pred_top.v
............此处省略102个文件信息

评论

共有 条评论

相关资源