• 大小: 3KB
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2021-01-05
  • 语言: 其他
  • 标签: VHDL  

资源简介

FPGA输入端口:CLR ,CLK , ALE , WR , DATA_P0 [7..0] , DATA_P2[7..0] ; FPGA输出端口:SCLK , SDATA , LD ; 16并转16位同步串出

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件       1408  2013-01-09 17:10  no1.vhd

     文件      14612  2013-01-09 17:11  no1.vwf

     文件       1265  2012-12-26 12:15  no1.qpf

----------- ---------  ---------- -----  ----

                17285                    3


评论

共有 条评论