资源简介

该程序是基于FPGA verilog HDL设计的一个流水呼吸灯的设计(4个LED实现流水和呼吸的效果),适合初学者学习,博客: https://blog.csdn.net/qq_40261818/article/details/81360202

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----
     目录           0  2018-08-03 10:44  LSHXD\
     文件        5072  2018-08-03 10:10  LSHXD\LSHXD.v
     文件         382  2018-08-02 17:38  LSHXD\tb_LSHXD.v

评论

共有 条评论