• 大小: 1.45MB
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2023-09-19
  • 语言: 其他
  • 标签: 1602  fpga  

资源简介

verilog 语言写1602的驱动工程,编译下载成功,可以实现显示字符。

资源截图

代码片段和文件信息

/**********************************************************************/
/*   ____  ____                                                       */
/*  /   /\/   /                                                       */
/* /___/  \  /                                                        */
/* \   \   \/                                                       */
/*  \   \        Copyright (c) 2003-2009 Xilinx Inc.                */
/*  /   /          All Right Reserved.                                 */
/* /---/   /\                                                         */
/* \   \  /  \                                                      */
/*  \___\/\___\                                                    */
/***********************************************************************/

/* This file is designed for use with ISim build 0x7dea747 */

#define XSI_HIDE_SYMBOL_SPEC true
#include “xsi.h“
#include 
#ifdef __GNUC__
#include 
#else
#include 
#define alloca _alloca
#endif
static const char *ng0 = “E:/xilinx/ise/ISE_DS/ISE/verilog/src/glbl.v“;
static unsigned int ng1[] = {1U 0U};
static unsigned int ng2[] = {0U 0U};



static void NetDecl_15_0(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    unsigned int t8;
    unsigned int t9;
    char *t10;
    unsigned int t11;
    unsigned int t12;
    char *t13;
    unsigned int t14;
    unsigned int t15;
    char *t16;

LAB0:    t1 = (t0 + 6640U);
    t2 = *((char **)t1);
    if (t2 == 0)
        goto LAB2;

LAB3:    goto *t2;

LAB2:    xsi_set_current_line(15 ng0);
    t2 = (t0 + 1960U);
    t3 = *((char **)t2);
    t2 = (t0 + 8328);
    t4 = (t2 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memset(t7 0 8);
    t8 = 1U;
    t9 = t8;
    t10 = (t3 + 4);
    t11 = *((unsigned int *)t3);
    t8 = (t8 & t11);
    t12 = *((unsigned int *)t10);
    t9 = (t9 & t12);
    t13 = (t7 + 4);
    t14 = *((unsigned int *)t7);
    *((unsigned int *)t7) = (t14 | t8);
    t15 = *((unsigned int *)t13);
    *((unsigned int *)t13) = (t15 | t9);
    xsi_driver_vfirst_trans(t2 0 0U);
    t16 = (t0 + 8200);
    *((int *)t16) = 1;

LAB1:    return;
}

static void Cont_44_1(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    unsigned int t10;
    unsigned int t11;
    char *t12;
    unsigned int t13;
    unsigned int t14;
    char *t15;
    unsigned int t16;
    unsigned int t17;
    char *t18;

LAB0:    t1 = (t0 + 6888U);
    t2 = *((char **)t1);
    if (t2 == 0)
        goto LAB2;

LAB3:    goto *t2;

LAB2:    xsi_set_current_line(44 ng0);
    t2 = (t0 + 3320);
    t3 = (t2 + 56U);
    t4 = *((char **)t3);
    t5 = (t0 + 8392);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 =

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件          6  2013-08-12 20:42  1602\.lso

     文件          0  2006-10-11 09:10  1602\automake.log

     文件        470  2006-10-11 09:10  1602\bitgen.ut

     文件       1072  2013-08-14 16:23  1602\fuse.log

     文件      20788  2012-01-03 16:56  1602\iseconfig\.xreport

     文件      20710  2012-01-02 10:58  1602\iseconfig\div50m.xreport

     文件      20777  2013-08-22 18:56  1602\iseconfig\lcd1602.xreport

     文件       8475  2013-08-23 14:31  1602\iseconfig\project.projectmgr

     文件      20715  2012-01-02 10:52  1602\iseconfig\translater.xreport

     文件        474  2013-08-14 16:23  1602\isim\isim_usage_statistics.html

     文件       4256  2013-08-14 10:47  1602\isim\temp\glbl.sdb

     文件      17137  2013-08-14 10:47  1602\isim\temp\lcd1602.sdb

     文件       1863  2013-08-14 10:47  1602\isim\temp\testwave.sdb

     文件          0  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\isimcrash.log

     文件       7659  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\ISimEngine-DesignHierarchy.dbg

     文件        167  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\isimkernel.log

     文件    1035776  2010-06-22 13:12  1602\isim\testwave_isim_beh.exe.sim\libPortability.dll

     文件         60  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\netId.dat

     文件     132439  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\testwave_isim_beh.exe

     文件       3105  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\tmp_save\_1

     文件       7960  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\work\m_00000000002013452923_2073120511.c

     文件       5316  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\work\m_00000000002013452923_2073120511.didat

     文件       2810  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\work\m_00000000002013452923_2073120511.nt64.obj

     文件      50388  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\work\m_00000000002970746487_0344184307.c

     文件       6680  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\work\m_00000000002970746487_0344184307.didat

     文件      19814  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\work\m_00000000002970746487_0344184307.nt64.obj

     文件       4659  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\work\m_00000000003010270726_1490398312.c

     文件       3452  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\work\m_00000000003010270726_1490398312.didat

     文件       2063  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\work\m_00000000003010270726_1490398312.nt64.obj

     文件       1353  2013-08-14 16:23  1602\isim\testwave_isim_beh.exe.sim\work\testwave_isim_beh.exe_main.c

............此处省略153个文件信息

评论

共有 条评论