• 大小: 3.16MB
    文件类型: .zip
    金币: 2
    下载: 0 次
    发布日期: 2023-09-21
  • 语言: 其他
  • 标签: SDRAM  FPGA  测试程序  

资源简介

众所周知,片上RAM是FPGA的宝贵资源。对于一些低端的FPGA芯片,其片上RAM实在是少的可怜,甚至不能存下一张图片。若要用FPGA实现图像处理,显然需要外部存储器。 而在外部存储器中,SDRAM的特点是速度快,价格低,但时序复杂。今天,主要介绍的就是使用FPGA读写SDRAM的实验。 初学者非常有用!!!本来免费 。。。。。只收3分,方便我下载资源

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----
     目录           0  2016-12-14 21:27  SDRAM_Test\
     文件        4369  2009-05-14 21:50  SDRAM_Test\PLL_ctrl.bsf
     文件        9498  2012-03-12 13:29  SDRAM_Test\PLL_ctrl.cnx
     文件         208  2012-03-12 13:29  SDRAM_Test\PLL_ctrl.cnxerr
     文件         614  2009-05-14 21:50  SDRAM_Test\PLL_ctrl.ppf
     文件         542  2009-05-14 21:50  SDRAM_Test\PLL_ctrl.qip
     文件       17874  2009-05-14 21:50  SDRAM_Test\PLL_ctrl.v
     文件       13639  2009-05-14 21:50  SDRAM_Test\PLL_ctrl_bb.v
     文件         162  2009-05-14 21:50  SDRAM_Test\PLL_ctrl_inst.v
     文件         862  2009-05-14 21:50  SDRAM_Test\PLL_ctrl_waveforms.html
     文件         617  2012-03-14 14:13  SDRAM_Test\clk_ctrl.ppf
     文件         456  2012-03-14 14:13  SDRAM_Test\clk_ctrl.qip
     文件       18212  2012-03-14 14:13  SDRAM_Test\clk_ctrl.v
     文件       13886  2012-03-14 14:13  SDRAM_Test\clk_ctrl_bb.v
     文件         162  2012-03-14 14:13  SDRAM_Test\clk_ctrl_inst.v
     文件        4603  2016-12-14 21:13  SDRAM_Test\datagene.v
     目录           0  2016-12-14 21:25  SDRAM_Test\db\
     文件        1696  2016-12-14 21:25  SDRAM_Test\db\a_gray2bin_kdb.tdf
     文件        3626  2016-12-14 21:25  SDRAM_Test\db\a_graycounter_c2c.tdf
     文件        3732  2016-12-14 21:25  SDRAM_Test\db\a_graycounter_d2c.tdf
     文件        3713  2016-12-14 21:25  SDRAM_Test\db\a_graycounter_o96.tdf
     文件        2044  2016-12-14 21:25  SDRAM_Test\db\alt_synch_pipe_fv7.tdf
     文件        2044  2016-12-14 21:25  SDRAM_Test\db\alt_synch_pipe_gv7.tdf
     文件        2732  2016-12-14 21:25  SDRAM_Test\db\altsyncram_3j01.tdf
     文件       23607  2016-12-14 21:25  SDRAM_Test\db\altsyncram_e7e1.tdf
     文件        3721  2016-12-14 21:25  SDRAM_Test\db\altsyncram_ms14.tdf
     文件       77944  2016-12-14 21:25  SDRAM_Test\db\altsyncram_ugq1.tdf
     文件        2365  2016-12-14 21:25  SDRAM_Test\db\cmpr_536.tdf
     文件        1682  2016-12-14 21:25  SDRAM_Test\db\cmpr_5cc.tdf
     文件        2004  2016-12-14 21:25  SDRAM_Test\db\cmpr_9cc.tdf
     文件        2074  2016-12-14 21:25  SDRAM_Test\db\cmpr_acc.tdf
............此处省略284个文件信息

评论

共有 条评论