• 大小: 1.63MB
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2023-10-14
  • 语言: 其他
  • 标签: yuv转rgb  verilog  

资源简介

YUV转RGB 的verilog代码。实现方法采用查表法,经过验证。

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件     262757  2005-12-28 11:45  YUV2RGB\doc\RIC-V01(彩色空间变换YCbCr2RGB).pdf

     文件    1139393  2004-11-28 17:07  YUV2RGB\sim\altera_mf.v

     文件        389  2012-03-30 09:59  YUV2RGB\sim\transcript

     文件     106496  2011-12-02 21:01  YUV2RGB\sim\vsim.wlf

     文件       1297  2011-12-02 14:53  YUV2RGB\sim\wave.do

     文件       2963  2011-12-02 14:47  YUV2RGB\sim\work\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\_primary.dat

     文件       7993  2011-12-02 14:47  YUV2RGB\sim\work\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\_primary.dbs

     文件        104  2011-12-02 14:47  YUV2RGB\sim\work\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\_primary.vhd

     文件        494  2011-12-02 14:47  YUV2RGB\sim\work\@m@f_pll_reg\_primary.dat

     文件       1122  2011-12-02 14:47  YUV2RGB\sim\work\@m@f_pll_reg\_primary.dbs

     文件        354  2011-12-02 14:47  YUV2RGB\sim\work\@m@f_pll_reg\_primary.vhd

     文件       2157  2011-12-02 14:48  YUV2RGB\sim\work\@m@f_ram7x20_syn\_primary.dat

     文件       9146  2011-12-02 14:48  YUV2RGB\sim\work\@m@f_ram7x20_syn\_primary.dbs

     文件        586  2011-12-02 14:48  YUV2RGB\sim\work\@m@f_ram7x20_syn\_primary.vhd

     文件      52349  2011-12-02 14:47  YUV2RGB\sim\work\@m@f_stratixii_pll\_primary.dat

     文件     179428  2011-12-02 14:47  YUV2RGB\sim\work\@m@f_stratixii_pll\_primary.dbs

     文件       6750  2011-12-02 14:47  YUV2RGB\sim\work\@m@f_stratixii_pll\_primary.vhd

     文件      72519  2011-12-02 14:47  YUV2RGB\sim\work\@m@f_stratix_pll\_primary.dat

     文件     231991  2011-12-02 14:47  YUV2RGB\sim\work\@m@f_stratix_pll\_primary.dbs

     文件       8453  2011-12-02 14:47  YUV2RGB\sim\work\@m@f_stratix_pll\_primary.vhd

     文件      10153  2011-12-02 14:48  YUV2RGB\sim\work\alt3pram\_primary.dat

     文件      25470  2011-12-02 14:48  YUV2RGB\sim\work\alt3pram\_primary.dbs

     文件       1938  2011-12-02 14:48  YUV2RGB\sim\work\alt3pram\_primary.vhd

     文件       3907  2011-12-02 14:47  YUV2RGB\sim\work\altaccumulate\_primary.dat

     文件      12216  2011-12-02 14:47  YUV2RGB\sim\work\altaccumulate\_primary.dbs

     文件        923  2011-12-02 14:47  YUV2RGB\sim\work\altaccumulate\_primary.vhd

     文件      47034  2011-12-02 14:48  YUV2RGB\sim\work\altcam\_primary.dat

     文件     144652  2011-12-02 14:48  YUV2RGB\sim\work\altcam\_primary.dbs

     文件       1731  2011-12-02 14:48  YUV2RGB\sim\work\altcam\_primary.vhd

     文件      15236  2011-12-02 14:48  YUV2RGB\sim\work\altcdr_rx\_primary.dat

............此处省略262个文件信息

评论

共有 条评论