• 大小: 4.81MB
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2023-10-28
  • 语言: 其他
  • 标签: mc8051  

资源简介

mc8051开源8051软核V1.3,mc8051开源8051软核V1.3,mc8051开源8051软核V1.3,mc8051开源8051软核V1.3

资源截图

代码片段和文件信息

/*****************************************************************************/
/* Program : hex2dual                                                        */
/*                                                                           */
/* Author :  Roland H鰈ler                                                   */
/* Date :    23.08.2001                                                      */
/* Purpose:  Convert Intel HEX files to binary notation text files.          */
/*****************************************************************************/

#include     
#include     
#include     
#include     
#include  
#include  
#include  
#include  
#include  
 
#define LINE_LENGTH 128
#define FILE_EXT “.dua“

static char *cmnd;

FILE *fpr;
FILE *fpw; 

/*---------------------------------------------------------------------------*/
/*  Function : error_exit                                                    */
/*                                                                           */
/*  Purpose :  Print error message close files and exit                    */
/*             program with exit code EXIT_FAILURE.                          */
/*  Input :    Message text                                                  */
/*---------------------------------------------------------------------------*/
void error_exit (char *msg) 

  (void) fprintf (stderr “%s: %s: %s\n“ cmnd msg strerror(errno)); 
/*   (void) fclose(fpr); */
/*   (void) fclose(fpw); */
  (void) fflush(stdout);
  exit (EXIT_FAILURE); 
}

/*---------------------------------------------------------------------------*/
/*  Function : hex2bin                                                       */
/*                                                                           */
/*  Purpose :  Convert a hexadecimal character in its binary representation  */
/*  Input :    Hexadecimal character                                         */
/*---------------------------------------------------------------------------*/
char *hex2bin (char hexval)
{
  /* Default is new line */
  static char bnibble[5] = “----\0“;
  /* Convert hexadecimal character */
  if (hexval == ‘0‘) (void)strcpy(bnibble“0000“);
  if (hexval == ‘1‘) (void)strcpy(bnibble“0001“);
  if (hexval == ‘2‘) (void)strcpy(bnibble“0010“);
  if (hexval == ‘3‘) (void)strcpy(bnibble“0011“);
  if (hexval == ‘4‘) (void)strcpy(bnibble“0100“);
  if (hexval == ‘5‘) (void)strcpy(bnibble“0101“);
  if (hexval == ‘6‘) (void)strcpy(bnibble“0110“);
  if (hexval == ‘7‘) (void)strcpy(bnibble“0111“);
  if (hexval == ‘8‘) (void)strcpy(bnibble“1000“);
  if (hexval == ‘9‘) (void)strcpy(bnibble“1001“);
  if ((hexval == ‘A‘) || (hexval == ‘a‘)) (void)strcpy(bnibble“1010“);
  if ((hexval == ‘B‘) || (hexval == ‘b‘)) (void)strcpy(bnibble“1011“);
  if ((hexval == ‘C‘) || (hexval == ‘c‘)) (void)strcpy(bnibble“1100“);
  if ((hexval == ‘D‘) || (hexval

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件       2161  2002-07-01 09:56  mc8051开源8051软核\mc8051\dc\scr\synth_core_dc.scr

     文件      45031  2002-07-01 12:40  mc8051开源8051软核\mc8051\docu\comp_orig_ipcore.pdf

     文件      86429  2002-07-01 12:40  mc8051开源8051软核\mc8051\docu\mc8051_overview.pdf

     文件     142987  2002-07-01 12:53  mc8051开源8051软核\mc8051\docu\mc8051_user_guide.pdf

     文件       6331  2002-07-01 09:26  mc8051开源8051软核\mc8051\msim\hex2dual.c

     文件       2304  2002-07-01 09:15  mc8051开源8051软核\mc8051\msim\keil.dua

     文件        733  2002-07-01 09:15  mc8051开源8051软核\mc8051\msim\keil.hex

     文件       2131  2002-07-01 10:18  mc8051开源8051软核\mc8051\msim\mc8051_compile.do

     文件       3843  2002-07-01 09:15  mc8051开源8051软核\mc8051\msim\mc8051_rom.dua

     文件        102  2002-07-01 09:15  mc8051开源8051软核\mc8051\msim\mc8051_sim.do

     文件       4367  2002-07-01 09:15  mc8051开源8051软核\mc8051\msim\mc8051_wave.do

     文件       1259  2002-07-01 09:26  mc8051开源8051软核\mc8051\msim\readme.txt

     文件       2304  2002-07-01 09:15  mc8051开源8051软核\mc8051\msim\regs.log

     文件       4209  2002-07-01 09:15  mc8051开源8051软核\mc8051\msim\tc1.asm

     文件       3843  2002-07-01 09:15  mc8051开源8051软核\mc8051\msim\tc1.dua

     文件       1218  2002-07-01 09:15  mc8051开源8051软核\mc8051\msim\tc1.hex

     文件       4227  2001-09-05 18:44  mc8051开源8051软核\mc8051\msim\write2file.do

     文件       2511  2001-08-29 12:12  mc8051开源8051软核\mc8051\synpl\mc8051_core.prj

     文件       4625  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\mc8051_ramx_.vhd

     文件       4892  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\mc8051_ramx_sim.vhd

     文件       4173  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\mc8051_ramx_sim_cfg.vhd

     文件       4679  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\mc8051_ram_.vhd

     文件       5235  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\mc8051_ram_sim.vhd

     文件       4161  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\mc8051_ram_sim_cfg.vhd

     文件       4602  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\mc8051_rom_.vhd

     文件       5046  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\mc8051_rom_sim.vhd

     文件       4143  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\mc8051_rom_sim_cfg.vhd

     文件       4248  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\tb_mc8051_alu_.vhd

     文件      60983  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\tb_mc8051_alu_sim.vhd

     文件       4271  2002-01-07 13:16  mc8051开源8051软核\mc8051\tb\tb_mc8051_alu_sim_cfg.vhd

............此处省略169个文件信息

评论

共有 条评论