• 大小: 1.53MB
    文件类型: .zip
    金币: 1
    下载: 0 次
    发布日期: 2023-11-11
  • 语言: 其他
  • 标签: 哈工大  计算机  VHDL  

资源简介

本代码为哈工大计算机设计与实践的课程设计代码,先修课程为计算机组成原理,使用 Xilinx 运行即可。

资源截图

代码片段和文件信息

/**********************************************************************/
/*   ____  ____                                                       */
/*  /   /\/   /                                                       */
/* /___/  \  /                                                        */
/* \   \   \/                                                       */
/*  \   \        Copyright (c) 2003-2009 Xilinx Inc.                */
/*  /   /          All Right Reserved.                                 */
/* /---/   /\                                                         */
/* \   \  /  \                                                      */
/*  \___\/\___\                                                    */
/***********************************************************************/

/* This file is designed for use with ISim build 0xc3576ebc */

#define XSI_HIDE_SYMBOL_SPEC true
#include “xsi.h“
#include 
#ifdef __GNUC__
#include 
#else
#include 
#define alloca _alloca
#endif
static const char *ng0 = “Function to_bit ended without a return statement“;
extern char *STD_STANDARD;
static const char *ng2 = “Function to_stdulogic ended without a return statement“;
static const char *ng3 = “Function to_x01 ended without a return statement“;
static const char *ng4 = “Function to_x01z ended without a return statement“;
static const char *ng5 = “Function to_ux01 ended without a return statement“;



unsigned char ieee_p_2592010699_sub_3130575329_503743352(char *t1 char *t2 char *t3)
{
    char t4[128];
    char t5[24];
    char t9[8];
    unsigned char t0;
    char *t6;
    char *t7;
    char *t8;
    char *t10;
    char *t11;
    char *t12;
    unsigned char t13;
    char *t14;
    char *t15;
    unsigned int t16;
    unsigned char t17;
    int t18;
    char *t19;
    int t20;
    char *t21;
    int t22;
    char *t23;
    int t24;
    char *t25;
    int t26;
    char *t27;
    int t28;
    int t29;
    unsigned int t30;
    unsigned int t31;
    unsigned int t32;
    char *t33;
    unsigned char t34;
    int t35;
    int t36;
    int t37;
    int t38;
    unsigned int t39;
    int t40;
    unsigned int t41;
    unsigned int t42;
    unsigned int t43;
    unsigned int t44;

LAB0:    t6 = (t4 + 4U);
    t7 = (t1 + 3224);
    t8 = (t6 + 88U);
    *((char **)t8) = t7;
    t10 = (t6 + 56U);
    *((char **)t10) = t9;
    *((unsigned char *)t9) = (unsigned char)4;
    t11 = (t6 + 80U);
    *((unsigned int *)t11) = 1U;
    t12 = (t5 + 4U);
    t13 = (t2 != 0);
    if (t13 == 1)
        goto LAB3;

LAB2:    t14 = (t5 + 12U);
    *((char **)t14) = t3;
    t15 = (t3 + 12U);
    t16 = *((unsigned int *)t15);
    t17 = (t16 == 1);
    if (t17 != 0)
        goto LAB4;

LAB6:    t7 = (t3 + 8U);
    t18 = *((int *)t7);
    t8 = (t3 + 4U);
    t20 = *((int *)t8);
    t10 = (t3 + 0U);
    t22 = *((int *)t10);
    t24 = t22;
    t26

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----
     目录           0  2017-07-21 14:59  CPU\
     文件         119  2017-07-13 22:15  CPU\GETIR.xst
     文件       94720  2017-07-13 22:15  CPU\XCPU_isim_beh.exe
     文件       13534  2017-07-13 22:15  CPU\XCPU_xst.xrpt
     文件         124  2017-07-13 22:15  CPU\fuseRelaunch.cmd
     目录           0  2017-07-13 22:19  CPU\_ngo\
     文件          38  2017-07-13 22:19  CPU\_ngo\netlist.lst
     文件         119  2017-07-13 22:15  CPU\Store.xst
     文件         974  2017-07-13 22:15  CPU\XCPU.bld
     文件         122  2017-07-13 22:15  CPU\MemoryVs.xst
     文件       52926  2017-07-13 22:15  CPU\isim.log
     文件       94720  2017-07-13 22:15  CPU\waveALU_isim_beh.exe
     文件         367  2017-07-13 22:15  CPU\fuse.xmsgs
     文件          16  2017-07-13 22:15  CPU\xilinxsim.ini
     文件          66  2017-07-13 22:15  CPU\waveMemoryVs_stx_beh.prj
     文件        2043  2017-07-13 22:15  CPU\ALU.vhd
     文件       94720  2017-07-13 22:15  CPU\waveXCPU_isim_beh.exe
     文件        8196  2017-07-21 15:00  CPU\.DS_Store
     目录           0  2018-05-12 20:52  __MACOSX\
     目录           0  2018-05-12 20:52  __MACOSX\CPU\
     文件         120  2017-07-21 15:00  __MACOSX\CPU\._.DS_Store
     文件        1116  2017-07-13 22:15  CPU\MemoryVs.stx
     文件         199  2017-07-13 22:15  CPU\XCPU_stx_beh.prj
     文件        1110  2017-07-13 22:15  CPU\Store.stx
     文件          36  2017-07-13 22:15  CPU\Store_vhdl.prj
     文件        1110  2017-07-13 22:15  CPU\GETIR.stx
     文件         255  2017-07-13 22:15  CPU\XCPU_vhdl.prj
     文件        1155  2017-07-13 22:15  CPU\XCPU_map.mrp
     文件           0  2017-07-13 22:15  CPU\XCPU.stx
     文件       94720  2017-07-13 22:15  CPU\waveStore_isim_beh.exe
     文件       45750  2017-07-13 22:15  CPU\waveXCPU_isim_beh.wdb
............此处省略298个文件信息

评论

共有 条评论