资源简介

想出了一个半整数分频的VHDL语言描述.其实很多问题只要你耐心,也是比较容易的

写出来与大家共享,共同讨论,半整数分频当然还有其他的方法

我认为这种看起来蛮简单的

资源截图

代码片段和文件信息

评论

共有 条评论