• 大小: 6.55KB
    文件类型: .zip
    金币: 1
    下载: 0 次
    发布日期: 2021-03-28
  • 语言: 其他
  • 标签: 其他  

资源简介


IIC总线 Verilog FGPA模块实现 注释详尽 初学必备,实现了IIC读写EEPROM,已封装成模块,实例中为了testbench测试,将写入的数据变成了固定值,注释详尽,初学者也能明白,本人初学时编写,完整测试通过 /*** * clk50M : 50M输入时钟 * resetKey : 复位信号 * IIC_SDA : IIC数据接口 * IIC_SCL : IIC控制时钟接口 * RWSignal : 读写信号,读1,写0 * startSignal : 开始执行读命令信号,上升沿触发开始 * readLen : 需要读取的字节个数

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----
     文件       16320  2015-10-12 19:58  IICTest0.v
     文件        6937  2015-10-12 20:38  IICTestbench.v

评论

共有 条评论