• 大小: 4.24KB
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2021-03-28
  • 语言: 其他
  • 标签: 其他  

资源简介


用verilog编写的sigma-delta adc例子 应用在计量类adc产品

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件       2245  2007-04-25 22:48  用verilog编写的sigma-delta adc例子\combfilter.v

     文件       1252  2007-04-19 18:02  用verilog编写的sigma-delta adc例子\COMBFILTER_tb.v

     文件       1599  2007-04-26 22:38  用verilog编写的sigma-delta adc例子\combfilter_wrap.vhd

     文件        286  2007-04-19 16:34  用verilog编写的sigma-delta adc例子\ndiff.v

     文件        280  2007-04-19 16:34  用verilog编写的sigma-delta adc例子\ninter.v

     文件        503  2007-04-19 18:12  用verilog编写的sigma-delta adc例子\readmem.v

     文件        774  2008-01-28 15:31  用verilog编写的sigma-delta adc例子\使用说明请参看右侧注释====〉〉.txt

     目录          0  2008-11-17 11:45  用verilog编写的sigma-delta adc例子

----------- ---------  ---------- -----  ----

                 6939                    8


评论

共有 条评论