资源简介

设计一个基于红外遥控控制的智能收纳器。该智能收纳器主要包括两大部分,遥控控制部分、收纳器部分。收纳器能够接受红外遥控信号,并根据遥控的控制信号控制自身移动和方向,箱盖通过红外扫描技术控制箱盖开启或闭合。遥控器设有开关和按键,在开启状态下通过按键向收纳器箱体发送控制信号,控制收纳箱的方向性移动、箱盖开启和闭合。要求做出遥控智能收纳器实物。

资源截图

代码片段和文件信息

#include 
#include 
//---重定义关键词---//
#ifndef uchar
#define uchar unsigned char
#endif

#ifndef uint 
#define uint unsigned int
#endif


sbit IRIN = P3^2;
sbit IN1 = P1^0;
sbit IN2 = P1^1;
sbit IN3 = P1^2;
sbit IN4 = P1^3;
sbit ControlPort = P3^3;  //舵机信号端口

unsigned char IrValue[6];
unsigned char Time;
unsigned char TimeOutCounter = 0;
bit dj_flag = 0;


void DelayMs(unsigned int x)   //0.14ms误差 0us
{
unsigned char i;
while(x--)
{
for (i = 0; i<13; i++);
}
}
void IrInit()
{
IT0 = 1;//下降沿触发
EX0 = 1;//打开中断0允许
EA = 1; //打开总中断

IRIN = 1;//初始化端口
}

void InitialTimer()  
{
TMOD &= 0xF0; //设置定时器模式
TMOD |= 0x01; //设置定时器模式
TL0 = 0x33; //设置定时初值
TH0 = 0xFE; //设置定时初值
    TR0 = 1;                        //timer0 start running
    ET0 = 1;                        //enable timer0 interrupt
    EA = 1;                         //open global interrupt switch

}
void Delay100ms() //@11.0592MHz
{
unsigned cha

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----
     文件       89343  2017-12-13 19:14  QQ截图20171213191446.jpg
     目录           0  2017-12-13 19:13  原理图\
     文件       93882  2017-05-08 00:32  原理图\基于红外遥控控制的智能收纳器设计.PDF
     文件       82944  2017-05-01 01:14  原理图\基于红外遥控控制的智能收纳器设计.SchDoc
     目录           0  2017-06-04 04:15  源程序\
     目录           0  2017-06-04 04:15  源程序\Listings\
     文件       13763  2017-04-21 17:20  源程序\Listings\main.lst
     文件       14412  2017-04-21 17:20  源程序\Listings\Project.m51
     文件       14155  2017-04-21 17:20  源程序\Listings\STARTUP.lst
     文件        4159  2017-04-21 17:20  源程序\main.c
     目录           0  2017-06-04 04:15  源程序\objects\
     文件        8191  2017-04-21 17:20  源程序\objects\main.obj
     文件        8020  2017-04-21 17:20  源程序\objects\Project
     文件        1036  2017-04-21 17:20  源程序\objects\Project.build_log.htm
     文件        2055  2017-04-21 17:20  源程序\objects\Project.hex
     文件         105  2017-04-21 17:20  源程序\objects\Project.lnp
     文件         819  2017-04-21 17:20  源程序\objects\STARTUP.obj
     文件       70786  2017-05-08 14:12  源程序\Project.uvgui.Tanghua
     文件        5389  2017-04-21 22:24  源程序\Project.uvopt
     文件       14031  2017-04-21 22:24  源程序\Project.uvproj
     文件        6376  2016-03-14 08:58  源程序\STARTUP.A51

评论

共有 条评论