• 大小: 67B
    文件类型: .txt
    金币: 1
    下载: 0 次
    发布日期: 2021-04-06
  • 语言: 其他
  • 标签: FPGA  时序约束  

资源简介

本课程详细介绍了Xilinx新一代开发平台Vivado的使用方法,分为两大部分:入门篇和提高篇;涵盖四大主题:设计流程,时序约束(XDC),设计分析和Tcl脚本的使用;附带多个工程Demo。把Vivado “IP Centric”的设计理念贯穿其中,通过Demo显示了Vivado的强大功能和与ISE的不同之处。

资源截图

代码片段和文件信息

评论

共有 条评论