• 大小: 240KB
    文件类型: .pdf
    金币: 1
    下载: 0 次
    发布日期: 2021-04-06
  • 语言: 其他
  • 标签: verilog  

资源简介

FPGA逻辑设计中通常是一个大的模块中包含了一个或多个功能子模块,verilog通过模块调用或称为模块实例化的方式来实现这些子模块与高层模块的连接,有利于简化每一个模块的代码,易于维护和修改。 当有了例化的方法之后,当模块中需要两个同样功能的USB模块时,我们只需要编写好一个usb模块的代码,之后通过例化,就可以将俩个usb模块添加到顶层模块之中。(注意,我们要有硬件思维,例化一个模块,那么就有一个硬件与之对应。例化多个,就有多个硬件。)

资源截图

代码片段和文件信息

评论

共有 条评论