• 大小: 1KB
    文件类型: .qpf
    金币: 1
    下载: 0 次
    发布日期: 2021-05-09
  • 语言: 其他
  • 标签: FPGA  verilog  电子琴  

资源简介

基于FPGA的中频电子琴 通过八个按键来控制发声,外接喇叭或者蜂鸣器 可以自己编写曲目来进行演奏

资源截图

代码片段和文件信息

评论

共有 条评论