• 大小: 2KB
    文件类型: .c
    金币: 1
    下载: 0 次
    发布日期: 2021-01-11
  • 语言: VB
  • 标签: saa7121  i2c  

资源简介

extern SA7121H_ConfParams sa7121hPAL[45]; extern SA7121H_ConfParams sa7121hNTSC[45]; Uint8 vFromat = 0; Uint8 misc_ctrl = 0x6D; Uint8 output_format = 0x47; // 地址为0 for cvbs port1,选择复合信号做为输入 Uint8 input_sel = 0x00; /*地址为0xf,将Pin27设置成为CAPEN功能*/ Uint8 pin_cfg = 0x02; /*地址为1B*/ Uint8 chro_ctrl_2 = 0x14

资源截图

代码片段和文件信息


extern SA7121H_ConfParams sa7121hPAL[45];
extern SA7121H_ConfParams sa7121hNTSC[45];
Uint8 vFromat = 0;
Uint8 misc_ctrl = 0x6D;
Uint8 output_format = 0x47;
// 地址为0 for cvbs port1选择复合信号做为输入
Uint8 input_sel = 0x00;
/*地址为0xf,将Pin27设置成为CAPEN功能*/
Uint8 pin_cfg = 0x02;
/*地址为1B*/
Uint8 chro_ctrl_2 = 0x14;


       addrI2C = 0xBA >>1;
    _IIC_write(hSeeddm642i2c addrI2C0x00 input_sel);
    _IIC_write(hSeeddm642i2c addrI2C0x03 misc_ctrl);
    _IIC_write(hSeeddm642i2c addrI2C0x0D output_format);
    _IIC_write(hSeeddm642i2c addrI2C0x0F pin_cfg);
    _IIC_write(hSeeddm642i2c addrI2C0x1B chro_ctrl_2);
    _IIC_read(hSeeddm642i2c addrI2C0x8c &vFromat);
  
/*----------------------------------------------------------*/

/*PAL制的显示参数*/
SA7121H_ConfParams sa7

评论

共有 条评论

相关资源