• 大小: 379KB
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2021-06-13
  • 语言: 其他
  • 标签: VHDL  ALU  

资源简介

用VHDL语言,模块化设计方式,实现8位运算器单元ALU的设计。

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件       6979  2011-12-23 11:44  ALU\ALU.asm.rpt

     文件         26  2011-12-23 11:45  ALU\ALU.done

     文件      21607  2011-12-23 11:44  ALU\ALU.fit.eqn

     文件     156772  2011-12-23 11:44  ALU\ALU.fit.rpt

     文件        474  2011-12-23 11:44  ALU\ALU.fit.summary

     文件       3728  2011-12-23 11:44  ALU\ALU.flow.rpt

     文件      15906  2011-12-23 11:44  ALU\ALU.map.eqn

     文件      33776  2011-12-23 11:44  ALU\ALU.map.rpt

     文件        353  2011-12-23 11:44  ALU\ALU.map.summary

     文件      57481  2011-12-23 11:44  ALU\ALU.pin

     文件     524429  2011-12-23 11:44  ALU\ALU.pof

     文件        902  2011-11-02 22:50  ALU\ALU.qpf

     文件       2570  2011-12-23 11:44  ALU\ALU.qsf

     文件       2164  2011-12-23 11:46  ALU\ALU.qws

     文件     175653  2011-12-23 11:45  ALU\ALU.sim.rpt

     文件     429043  2011-12-23 11:44  ALU\ALU.sof

     文件      88450  2011-12-23 11:44  ALU\ALU.tan.rpt

     文件       1937  2011-12-23 11:44  ALU\ALU.tan.summary

     文件      16270  2011-12-23 11:43  ALU\ALU.vwf

     文件          0  2011-12-23 11:44  ALU\ALU_description.txt

     文件       8133  2011-11-03 17:07  ALU\CONTROL.vwf

     文件      13029  2011-11-03 21:45  ALU\link.vwf

     文件       2778  2011-11-03 17:11  ALU\RT.vwf

     文件        237  2011-11-03 22:09  ALU\GFUN.vhd

     文件       1479  2011-11-08 09:00  ALU\link.vhd

     文件        802  2011-11-08 10:18  ALU\CONTROL.vhd

     文件        423  2011-11-08 10:58  ALU\RT.vhd

     文件        638  2011-11-12 11:40  ALU\DataInput.vhd

     文件       1555  2011-11-12 11:58  ALU\JCQ.vhd

     文件        493  2011-11-09 23:23  ALU\REG8.vhd

............此处省略82个文件信息

评论

共有 条评论