• 大小: 14KB
    文件类型: .docx
    金币: 1
    下载: 0 次
    发布日期: 2021-01-07
  • 语言: 其他
  • 标签: Verilog  分频器  

资源简介

用Verilog语言描述可变占空比分频器,输入频率自行定义。 通过控制信号Div(2位)选择,Div=00:原频率输出;Div=01:2分频输出;Div=10:3分频输出;Div=11:5分频输出; 使用PMW(2位)信号控制占空比,PMW=00:占空比1:1;PMW=01:占空比1:2;PMW=10:占空比2:1;PMW=11:占空比3:2。

资源截图

代码片段和文件信息

评论

共有 条评论