• 大小: 3KB
    文件类型: .vhd
    金币: 1
    下载: 0 次
    发布日期: 2021-06-01
  • 语言: 其他
  • 标签: VHDL  

资源简介

VHDL驱动LED灯的程序 ENTITY scan_led IS PORT( clk_1k: IN STD_LOGIC; d: IN STD_LOGIC_VECTOR(31 DOWNTO 0); --输入要显示的数据 dig: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --数码管选择输出引脚com seg: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) --数码管段输出引脚dis ); END ENTITY; ARCHITECTURE one OF scan_led IS SIGNAL seg_r: STD_LOGIC_VECTOR(7 DOWNTO 0); --定义数码管输出寄存器 SIGNAL dig_r: STD_LOGIC_VECTOR(7 DOWNTO 0); --定义数码管选择输出寄存器 SIGNAL disp_dat: STD_LOGIC_VECTOR(3 DOWNTO 0); --定义显示数据寄存器 SIGNAL count: STD_LOGIC_VECTOR(2 DOWNTO 0); --定义计数寄存器

资源截图

代码片段和文件信息

评论

共有 条评论