• 大小: 166KB
    文件类型: .zip
    金币: 1
    下载: 0 次
    发布日期: 2021-06-09
  • 语言: 其他
  • 标签: 乘法器  VHDL实现  

资源简介

我是2014级复旦的研究生。这是用VHDL语言设计的任意的M乘以N位的乘法器。设计中,被除数和乘数的位数是通过参数来设置的,可由你来修改。我已写好了testbench。可放心使用。

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----
     目录           0  2015-02-09 23:17  MULTIPLIER\CODE\
     文件         543  2014-11-28 13:44  MULTIPLIER\CODE\bit_multiplier.vhd
     文件        2746  2015-01-03 04:13  MULTIPLIER\CODE\Multiplier_4x4.vhd
     文件          61  2015-01-03 04:01  MULTIPLIER\CODE\Multiplier_4x4_sources.f
     文件        2974  2015-01-03 04:13  MULTIPLIER\CODE\Multiplier_4x4_tb.vhd
     文件        2883  2015-01-03 04:31  MULTIPLIER\CODE\Multiplier_NxM.vhd
     文件          61  2015-01-03 04:32  MULTIPLIER\CODE\Multiplier_NxM_sources.f
     文件        1840  2015-01-03 04:31  MULTIPLIER\CODE\Multiplier_NxM_tb.vhd
     文件      199552  2014-11-26 08:14  MULTIPLIER\title.pdf

评论

共有 条评论