• 大小: 548B
    文件类型: .rar
    金币: 1
    下载: 0 次
    发布日期: 2021-06-11
  • 语言: 其他
  • 标签: vhdl  

资源简介

用vhdl编写的移位器,实现了算术左移何右移,逻辑左移和右移,循环左移和右移。

资源截图

代码片段和文件信息

 属性            大小     日期    时间   名称
----------- ---------  ---------- -----  ----

     文件       2815  2009-10-23 19:48  shift.vhd

----------- ---------  ---------- -----  ----

                 2815                    1


评论

共有 条评论