• 大小: 14KB
    文件类型: .txt
    金币: 1
    下载: 0 次
    发布日期: 2021-06-11
  • 语言: 其他
  • 标签: VHDL  

资源简介

波形发生器 VHDL entity mine4 is port(clk : in std_logic; --时钟信号输入 set, clr, up, down, zu, zd : in std_logic; --各个波形特征的调节触发信号 posting : in std_logic; --任意波键盘置入信号

资源截图

代码片段和文件信息

评论

共有 条评论