• 大小: 8KB
    文件类型: .v
    金币: 1
    下载: 0 次
    发布日期: 2021-06-12
  • 语言: 其他
  • 标签: PWM  verilog  

资源简介

本例子中使用时钟为24M,输出的频率为6khz,pwm信号占空比可调节为10% 18% 26% %32 %40 50% 58% 66% 74% 82% 95% ;inc dec实现加减占空比。加到95%时不在增加,减到10%时也不在减,

资源截图

代码片段和文件信息

评论

共有 条评论